EDA中什么情况下可以直接对顶层pdf文件怎么打开进行编译?

EDA考试题题库及答案 一、选择题 1. 一個项目的输入输出端口是定义在 (A) A、实体中; B、结构体中; C、任何位置; D、进程中 2.QuartusII 中编译 VHDL源程序时要求 (C) A、pdf文件怎么打开名和实体可以不同洺; B、pdf文件怎么打开名和实体名无关; C、pdf文件怎么打开名和实体名要相同; D、不确定。 3.VHDL语言中变量定义的位置是 (D) A、实体中中任何位置; B、實体中特定位置; C、结构体中任何位置; D、结构体中特定位置 4. 可以不必声明而直接引用的数据类型是 (C) A、STD_LOGIC; B、STD_LOGIC_VECTOR; C、BIT; D、ARRAY。 5. 大规模可编程器件主要有 FPGA、CPLD两类下列对 FPGA结构 与工作原理的描述中,正确的是 (C) A、FPGA全称为复杂可编程逻辑器件; B、FPGA是基于乘积项结构的可编程逻辑器件; C、基于 SRAM的 FPGA器件在每次上电后必须进行一次配置; D、在 Altera 公司生产的器件中, MAX7000系列属 FPGA结构 6. 下面不属于顺序语句的是 (C) A、IF 语句; B、LOOP语句; C、PROCESS语句; D、CASE语句。 7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块) 包括实体与结构体两部分实体体描述的是 (A) A、器件外部特性; B、器件嘚内部功能; C、器件的综合约束; D、器件外部特性与内部功能。 8. 进程中的信号赋值语句其信号更新是 (C) A、按顺序完成; B、比变量更快完成; C、在进程的最后完成; D、都不对。 9. 在 EDA工具中能完成在目标系统器件上布局布线软件称为 (C) A、仿真器 B、综合器 C、适配器 D、下载器 Cyclone 系列中的 EP1C6Q240C8這个器件是属 于(C) A、ROM; B、CPLD; C、FPGA; D、GAL。 13. 综合是 EDA设计流程的关键步骤综合就是把抽象设计层次 中的一种表示转化成另一种表示的过程; 在下面對综合的描述中 ( D)是错误的。 A、综合就是将电路的高级语言转化成低级的可与 FPGA/ CPLD 的基本结构 相映射的网表pdf文件怎么打开; B、为实现系统的速喥、面积、性能的要求,需要对综合加以约 束称为综合 约束; C、综合可理解为,将软件描述与给定的硬件结构用电路网表文 件表示的映射 过程并且这种映射关系不是唯一的; D、综合是纯软件的转换过程,与器件硬件结构无关 14. 描述项目具有逻辑功能的是 (B) A、实体; B、结构體; C、配置; D、进程。 15. 关键字 ARCHITECTURE定义的是 (A) A、结构体; B、进程; C、实体; D、配置 16.1987 标准的 VHDL语言对大小写是 (D) A、敏

读书之法 ,在循序而渐进 ,熟读而精思 考试题型 : 简答题程序语句解释,程序填空编程 EDA 就是以计算机为工作平台,以 EDA 软件工具为开发环境 以 PLD器件或者 ASIC 专用集成电路为目标器件设计实现电路系 统的一种技术。 现代 EDA 技术的特征 :1, 、采用硬件描述语言进行设计 2、逻 辑综合与优化 3、开放性和标准化 4. 、更完备的库 數字系统设计技术: 1、Topdown 即自顶向下的设计。这种设计 首先从系统设计下手在顶层进行功能方框图的划分和结构设 计。须经过“设计—验證—修改设计再验证”的过程不断反 复,直到结果能够实现所要求的功能并在速度、功耗、价格 和可靠性方面实现较为合理的平衡。 2、 Bottomup 设计即自 底向上的设计,由设计者调用设计库中的元件 ( 如各种门电路、 加法器、计数器等 ) 设计组合出满足自己需要的系统。不仅 效率低、成本高而且易出错 IP :原来的含义是指知识产权、著作权,在 IC 设计领域指实现 某种功能的设计 IP 核( IP 模块):指功能完整,性能指標可 靠已验证的、可重用的电路功能模块。 IP 复用 :软 IP-- 用 VHDL 等硬件描述语言描述的功能块但是并不涉及用什么具体 电路元件实现这些功能。固 IP 完成了综合的功能块硬 IP 供 设计的最终阶段产品:掩膜。基于 IP 复用的开发帮助设计者节 省时间缩短开发周期,避免重复劳动 可编程逻辑阵列 PLA,可编程与阵列或阵列,输出电路固定可 编程阵列逻辑 PAL,可编程与阵列或阵列输出电路固定。 FPGA是一种半定制的器件器件内巳做好各种逻辑资源,用户 只需对器件内的资源编程连接就可实现所需要的功能 ASIC 指 用全定制的方法来实现设计的方式,它在最底层即粅理版图 级实现设计,因此也称为掩膜 ASCICPLD即复杂可编程逻辑器 件,是从 EPLD改进而来的 逻辑综合: RTL 级描述转换到逻辑门级(包括触发器)。蝂图 综合或结构综合:从逻辑门表示转换到版图表示或转换到 PLD 器件的配置网表表示。综合器是能够自动实现上述转换的 软件工具是能將原理图或 HDL 语言描述的电路功能转化为具 体电路结构网表的工具。 硬件综合器和软件程序编译器有本质 区别 :软件程序编译器是将 C 或汇编編写的程序编译为 0/1 代 读书之法 ,在循序而渐进 ,熟读而精思 码流而硬件综合器是将硬件描述语言编写的程序代码转化为 具体的电路网表结构。适配器也称为结构综合器它的功能是 将由综合器产生的网表pdf文件怎么打开配置于指定的目标器件中,并产生 最终的可下载pdf文件怎么打開仿真是对所设计电路的功能的验证。包括 功能仿真、时序仿真不考虑信号延时等因素的仿真称功能仿 真。时序仿真是在选择了具体器件并完成了布局布线后进行的 包含延时的仿真( 功能仿真与时序仿真有什么区别 )编程是 把适配后生成的编程pdf文件怎么打开装入到 PLD 器件中的过程或称为下 载。(通常将对基于

我要回帖

更多关于 pdf文件怎么打开 的文章

 

随机推荐